Pushing the single-exposure patterning capability of 0.33NA EUVL to its extreme limits

Imec Pushes Single-Exposure Patterning Capability of 0.33NA EUVL to its Extreme Limits
28nm pitch single-exposure patterning using Inpria’s MOx process on a 0.33NA EUV full field scanner after Ru metallization. Credit: IMEC

This week, at the 2021 SPIE Advanced Lithography Conference, imec, a world-leading research and innovation hub in nanoelectronics and digital technologies, and ASML, the world's leading manufacturer of semiconductor lithography equipment, present several papers that demonstrate the ultimate single-exposure patterning capability of today's 0.33NA NXE:3400 extreme ultraviolet lithography (EUVL). Process optimizations have enabled the patterning of dense 28nm pitch line/spaces with an Inpria metal-oxide resist in one single exposure, relevant for high-volume manufacturing. For the first time, optical and e-beam inspections were correlated with electrical data to gain further insights in improving stochastic defectivity—i.e., both breaks and bridges. In addition, source optimizations have led to printing the smallest pitch possible with the current NXE:3400 scanner (i.e., 24nm pitch line/spaces and 28nm pitch contact holes), allowing for early material development required for high-NA EUV lithography scanners.

Extreme ultraviolet lithography has reached a critical decision point where one can move to EUV multi- for printing the densest features of the next generation ICs or push further the single print capability on today's 0.33NA full field scanners. "While multi-patterning techniques would offer more relaxed pitches, single patterning enables a tremendous cost advantage and simpler process schemes," says Kurt Ronse, advanced patterning program director at imec. "Imec and ASML have demonstrated 28nm pitch single-exposure patterning readiness for line/spaces, which corresponds to critical back-end-of-line metal layers of a 5nm technology node. This brings the NXE:3400 scanner very close to its resolution limit for high-volume manufacturing." The results were obtained using Inpria's metal-oxide (MOx) resist process.

To learn about stochastic patterning failures, the researchers correlated defectivity inspection data obtained with scanning electron microscopy, broadband plasma and e-beam technologies with data obtained from electrical measurements. The electrical tests were carried out on large-area ruthenium-metallized serpentine structures that allowed to measure electrical opens (and hence bridges in the resist), as well as on metallized fork-fork and tip-to-tip structures that allowed to measure electrical shorts (and hence critical breaks in the resist). Besides showing a good correlation, the complementary electrical measurements allow to capture important trends across multiple process changes that can help mitigating stochastic printing failures (papers n° 11609-26; 11611-21).

Imec Pushes Single-Exposure Patterning Capability of 0.33NA EUVL to its Extreme Limits
24nm pitch line/spaces obtained on a 0.33NA NXE:3400B full field scanner, (left) after developing and (right) after etching on target critical dimension (CD) (uLER = unbiased line-edge roughness). Credit: IMEC

The extendibility of 0.33NA EUV lithography to pitch 28nm resulted from co-optimizing the various contributors to the patterning process, including mask templates, illumination settings, metal-oxide resist and etch processes. For example, the benefits of using bright field mask tonality and controlled lens aberrations were shown to largely improve the printability at small pitch and critical dimensions (papers n° 11609-27; 11609-29).

Besides pushing the boundaries of single-exposure EUVL for high-volume manufacturing, imec and ASML have brought the 0.33NA NXE:3400 to its extreme resolution with the aim to use it as a platform for early material development for the high-NA EUVL tools.

Imec Pushes Single-Exposure Patterning Capability of 0.33NA EUVL to its Extreme Limits
28nm contact holes obtained on a 0.33NA NXE:3400 full field scanner, after developing. Credit: IMEC

Steven Scheer, VP of advanced patterning process and materials at imec says, "Imec and ASML recently also showed the tool's capability of printing 24nm pitch line/spaces and 28nm contact holes—the latter by optimizing pupil and imaging conditions and by using double line/space exposures at a combined dose of 45mJ/cm2."

"Pattern transfer could be successfully demonstrated on very thin resists that are relevant for high-NA EUV," says Andrew Grenville, CEO of Inpria. "This will provide the imec patterning ecosystem with the opportunity to develop resist, metrology and etch processes to accelerate the introduction of the next-generation EUVL system, i.e., the high-NA EXE:5000."

Scheer added, "These developments will complement the learnings that are currently being obtained from imec's attosecond analytical and interference lithography lab (the AttoLab), expected to offer a high-NA resist imaging capability to print features down to pitches as small as 8 nm."

More information: 28nm pitch single exposure patterning readiness by metal oxide resist on 0.33NA EUV lithography, Paper 11609-26

Electrical validation of massive E-beam defect metrology in EUV-patterned interconnects, Paper 11611-21

Metal layer single EUV expose at pitch 28: how bright field and NTD resist advantages align, Paper 11609-27

Extending 0.33 NA EUVL to 28 nm pitch using alternative mask and controlled aberrations, Paper 11609-29

Provided by IMEC
Citation: Pushing the single-exposure patterning capability of 0.33NA EUVL to its extreme limits (2021, February 23) retrieved 16 April 2024 from https://techxplore.com/news/2021-02-single-exposure-patterning-capability-033na-euvl.html
This document is subject to copyright. Apart from any fair dealing for the purpose of private study or research, no part may be reproduced without the written permission. The content is provided for information purposes only.

Explore further

Sequential infiltration synthesis (SIS) significantly improves EUV patterning

 shares

Feedback to editors